Usb blaster altera driver windows 10 скачать

Jump to: navigation, search

From Terasic Wiki

Jump to: navigation, search

To install the driver for your USB Blaster cable, follow the instruction for your particular operating system.

Contents

  • 1 For Windows 10, do the following:
  • 2 For Windows 7 and Windows 8, do the following:
  • 3 For Windows Vista, do the following:
  • 4 For Windows XP, do the following:
  • 5 Driver
  • 6 Reference

For Windows 10, do the following:

  1. Plug the USB-Blaster into your PC.
  2. Open the Device and Printers (Control Panel | Devices and Printers).
  3. Under Unspecified, USB Blaster should be listed. Right mouse click on this and then select Properties.
  4. Select the Hardware tab and select Properties.
  5. A new window should pop up with the General tab already selected. Select Change Settings.
  6. Again a new window should pop up with the General tab already selected. Select Update.
  7. Select Browse my computer for driver software.
  8. Find <Path to Quartus II installation>quartusdrivers
  • (Note 1: Your altera file is located at the location you selected when you first installed quartus. The location listed in this document is the default location)
  • (Note 2: Stop at the drivers folder, i.e., do NOT go deeper by opening a folder within the drivers folder)
  1. Select OK. Make sure the proper path was selected then select Next.
  2. If the Windows security window pops up Check the Always trust software from “Altera Corporation”box and select Install.

For Windows 7 and Windows 8, do the following:

  1. Plug the USB-Blaster download cable into the PC. The following will appear on the screen: Device driver software was not successfully install. Select Click here for details.
  2. Select Change settings…
  3. Now cancel this (probably by selecting Cancel)
  4. Open the Device Manager (Windows Key | Control Panel | Device Manage)
  5. Under Other devices, USB Blaster should be listed. Right mouse click on this and then select Update Driver Software…
  6. Select Browse my computer for driver software
  7. Find <Path to Quartus II installation>quartusdrivers, then select Next; (Note: Stop at this folder, i.e., do NOT go deeper by opening a folder within the drivers folder)
  8. Check the Always trust … box and then select Install

For Windows Vista, do the following:

  1. Plug the USB-Blaster download cable into the PC. The Found New Hardware dialog box appears.
  2. Select Locate and install driver software (recommended).
  3. Select Don’t search online.
  4. When you are prompted to Insert the disc that came with your USB-Blaster, select I don’t have the disc. Show me other options.
  5. Select Browse my computer for driver software (advanced) when you see the Windows couldn’t find driver software for your device.
  6. Click Browse… and browse to the <Path to Quartus II installation>driversusb-blaster directory. Click OK.
  7. Select the Include subfolders option and click Next.
  8. If you are prompted Windows can’t verify the publisher of this driver software, select Install this driver software anyway in the Window Security dialog box.
  9. The installation begins.
  10. When The software for this device has been successfully installed appears, click Close.

For Windows XP, do the following:

  1. Plug the USB-Blaster download cable into the PC. The Found New Hardware dialog box appears.
  2. Select Locate and install driver software (recommended).
  3. Select Don’t search online.
  4. When you are prompted to Insert the disc that came with your USB-Blaster, select I don’t have the disc. Show me other options.
  5. Select Browse my computer for driver software (advanced) when you see the Windows couldn’t find driver software for your device.
  6. Click Browse… and browse to the <Path to Quartus II installation>driversusb-blaster directory. Click OK.
  7. Select the Include subfolders option and click Next.
  8. If you are prompted Windows can’t verify the publisher of this driver software, select Install this driver software anyway in the Window Security dialog box.
  9. The installation begins.

When The software for this device has been successfully installed appears, click Close. If you are running Windows in 64-bit mode, you MUST boot your computer as following both when installing the USB-Blaster driver AND when using Quartus to program your PLD.

    • During boot up of the computer, continuously press F8 (but do NOT hold it down).
    • Scroll down to “Disable Driver Signature Enforcement,” then hit Enter.

You must set up the driver before programming your PLD (CPLD or FPGA)

Driver

USB Blaster Drvier From Q16.1

Reference

  • Reference by Dr. Eric M. Schwartz
  • Back

Microsoft

Специальное предложение. См. дополнительную информацию о Outbyte и unistall инструкции. Пожалуйста, просмотрите Outbyte EULA и Политика Конфиденциальности

Microsoft Altera USB-Blaster


Windows XP, XP 64-bit, Vista, Vista 64-bit, 7, 7 64-bit, 11, 10, 8, 8.1

Microsoft Altera USB-Blaster Windows для устройств собирались с сайтов официальных производителей и доверенных источников. Это программное обеспечение позволит вам исправить ошибки Microsoft Altera USB-Blaster или Microsoft Altera USB-Blaster и заставить ваши устройства работать. Здесь вы можете скачать все последние версии Microsoft Altera USB-Blaster драйверов для Windows-устройств с Windows 11, 10, 8.1, 8 и 7 (32-бит/64-бит). Все драйверы можно скачать бесплатно. Мы проверяем все файлы и тестируем их с помощью антивирусного программного обеспечения, так что скачать их можно на 100% безопасно. Получите последние WHQL сертифицированные драйверы, которые хорошо работают.

Эта страница доступна на других языках:
English |
Deutsch |
Español |
Italiano |
Français |
Indonesia |
Nederlands |
Nynorsk |
Português |
Українська |
Türkçe |
Malay |
Dansk |
Polski |
Română |
Suomi |
Svenska |
Tiếng việt |
Čeština |
العربية |
ไทย |
日本語 |
简体中文 |
한국어


  1. Главная

  2. Драйверы

  3. Сетевые устройства

  4. Сетевые устройства Altera

  5. Altera USB-Blaster

  • Altera USB-Blaster

    Версия:

    17.3.22.909
    (29 мар 2017)

    Файл *.inf:
    usbblstr.inf




    Windows Vista, 7, 8, 8.1, 10

В каталоге нет драйверов для Altera USB-Blaster под Windows.
Скачайте DriverHub для автоматического подбора драйвера.

Драйверы для Altera USB-Blaster собраны с официальных сайтов компаний-производителей и других проверенных источников.
Официальные пакеты драйверов помогут исправить ошибки и неполадки в работе Altera USB-Blaster (сетевые устройства).
Скачать последние версии драйверов на Altera USB-Blaster для компьютеров и ноутбуков на Windows.


Версия: 1.3.7.1452 для Windows 7, 8, 10 и 11

Бесплатное ПО

В комплекте идет опциональное ПО

  • Yandex Browser
  • Opera Browser
  • Avast Free Antivirus
  • World of Tanks
  • World of Warships

Поиск по ID

Altera USB-Blaster Device Driver v.2.12.00 Windows XP / Vista / 7 32-64 bits

Altera USB-Blaster Device Driver

Подробнее о пакете драйверов:

Тип: драйвер

Имя: Altera USB-Blaster Device Driver
Производитель: Generalplus Technology Inc.
Версия драйвера (программы установщика):
2.12.00, 2.04.16
Операционная система:
Windows XP Windows Vista Windows 7
Разрядность ОС:
32-bit (x86) 64-bit (x64)
Размер файла: 0.73 mb
Дата выхода: 2014-08-26

USB драйвер для программаторов Blaster от компании Altera. Предназначен для ручной установки на Windows XP, Windows Vista и Windows 7 32-64 бита.

Версия драйвера:

  • usbblstr.inf — v.2.12.00 от 26.08.2014

Поддерживаемые устройства:

  • Altera USB-Blaster
  • Altera Cubic Cyclonium
  • Altera Nios II Evaluation Board
  • Altera Cyclone III EP3C25 Starter Kit
  • Altera Cyclone III EP3C120 Development Kit
  • Altera Stratix III EP3SL150 Development Kit
  • Altera Stratix III EP3SL340 Development Kit
  • Altera Nios Embedded Evaluation Kit
  • Altera Nios Development Kit, Cyclone III Edition

Внимание! Перед установкой драйвера Altera USB-Blaster Device Driver рекомендутся удалить её старую версию. Удаление драйвера особенно необходимо — при замене оборудования или перед установкой новых версий драйверов для видеокарт. Узнать об этом подробнее можно в разделе FAQ.

Скриншот файлов архива

Файлы для скачивания (информация)

Поддерживаемые устройства (ID оборудований):

USBVID_09FB&PID_6001 Altera Blaster
USBVID_09FB&PID_6002 Altera Cubic
Cyclonium
USBVID_09FB&PID_6003 Altera Nios II
Evaluation
Board
USBVID_09FB&PID_6004 Altera Cyclone
III EP3C25
Starter
Kit
USBVID_09FB&PID_6005 Altera Cyclone
III
EP3C120
Developmen
t Kit
USBVID_09FB&PID_6006 Altera Stratix
III
EP3SL150
Developmen
t Kit
USBVID_09FB&PID_6007 Altera Stratix
III
EP3SL340
Developmen
t Kit
USBVID_09FB&PID_6008 Altera Nios
Embedded
Evaluation
Kit
USBVID_09FB&PID_6009 Altera Nios
Developmen
t Kit,
Cyclone
III
Edition

Другие драйверы от USB

Сайт не содержит материалов, защищенных авторскими правами. Все файлы взяты из открытых источников.

© 2012-2023 DriversLab | Каталог драйверов, новые драйвера для ПК, скачать бесплатно для Windows
kamtizis@mail.ru
Страница сгенерирована за 0.055340 секунд


I was able to install the drivers. You first have to disable driver signature enforcement. This is the sequence: 

1 — Go to windows 10 settings and search for «advanced startup options» 

2 — Under Advanced startup, hit «Restart now» 

3 — After a moment, you’ll get a «choose an option» screen — choose «Troubleshoot», then «Advanced options», then «Startup Settings» 

4 — You’ll get a screen telling you what you will be able to change and a single «Restart» button — press it. 

5 — If your boot drive is BitLocker encrypted, you’ll need to enter the recovery key (press return, enter the key in the text box then hit return again — it took me three goes to realize I had to hit return before I could enter they key !) 

6 — You’ll be given a menu of options, number 7 disables driver signature enforcement 

7 — When the PC restarts, use Device manager to update the drivers — this time you’ll get a warning about the signature, but they install fine. 

8 — When you’ve finished, restart normally to re-enable signature enforcement

Для работы нам понадобится:

  • ПЛИС фирмы Altera;
  • программатор USB Blaster;
  • компьютер со средой разработки Quartus II.

Инструкция по загрузке проекта из среды разработки Quartus ii в ПЛИС фирмы Altera с помощью программатора USB Blaster

1Назначение выводов в проекте Quartus II

Первым делом необходимо назначить используемые выводы ПЛИС. В меню Assignements Device… выбираем ПЛИС, в которую вы собираетесь «залить» проект. В группе Device Family нужно выбрать семейство, к которому относится ваша ПЛИС. В поле Available devices выберите модель вашей ПЛИС. У меня, например, это Cyclone II, модель EP2C5T144C8.

Выбираем семейство и модель ПЛИС в окне Device

Выбираем семейство и модель ПЛИС в окне Device

В группе Show in «Available devices» list можно отсортировать устройства по типу корпуса (Package) или по количеству выводов (Pin count), чтобы быстрее найти вашу модель ПЛИС.

Нелишним будет задать, в каком состоянии будут находиться неподключённые ножки ПЛИС. Нажмите кнопку Device and Pin Options…, перейдите к пункту Unused Pins, и укажите состояние выводов.

Определение состояния незадействованных выводов

Определение состояния незадействованных выводов

После того как указали модель ПЛИС, закрываем окно Device, нажав кнопку OK.

Если вы хотите, чтобы синтезатор сам назначил функции выводам, то можно ничего больше не делать. А для того, чтобы вручную назначить выводы ПЛИС, идём в меню Assignements Pin Planner или нажимаем сочетание клавиш Ctrl+Shift+N.

Запуск инструмента назначения выводов Pin Planner

Запуск инструмента назначения выводов Pin Planner

Запустится инструмент назначения выводов Pin Planner. Внизу отображается список используемых в вашем проекте пинов ввода-вывода с соответствующими именами Node Name.

Инструмент Pin Planner и список вводов-выводов проекта ПЛИС

Инструмент Pin Planner и список вводов-выводов проекта ПЛИС

Теперь в столбце Location нужно задать номера выводов. Дважды кликаем на соответствующей ячейке и выбираем номер вывода, или же вводим с клавиатуры номер. Номера выводов будут зависеть от вашей макетной платы. Например, в моей плате тактовый импульс CLK, согласно мануалу, на 17 выводе, а выходам OUT1…OUT5 я назначу свободные выводы 94, 97, 100, 103 и 93.

Назначаем соответствие выводов ПЛИС сигналам проекта

Назначаем соответствие выводов ПЛИС сигналам проекта

После того, как все выводы были определены, окно планировщика пинов Pin Planner можно закрыть. Теперь скомпилируйте проект: Processing Start Compilation или Ctrl+L.

Запускаем полную перекомпиляцию проекта в Quartus II

Запускаем полную перекомпиляцию проекта в Quartus II

2Установка драйвера для программатора USB Blaster

Подключим программатор USB Blaster к компьютеру (если у вас его нет, то можно приобрести на Али-Экспресс). При первом подключении необходимо установить драйвер. Он устанавливается стандартным образом, и находится в директории Квартуса, в папке drivers: C:altera13.0sp1quartusdrivers

Установка драйвера для программатора USB Blaster

Установка драйвера для программатора USB Blaster

После установки драйвера, программатор будет отображаться в диспетчере устройств как Altera USB-Blaster.

3Загрузка прошивки через интерфейс JTAG

ПЛИС фирмы Altera поддерживают несколько режимов программирования. Сначала рассмотрим загрузку прошивки в ПЛИС через интерфейс JTAG. Подключите программатор к разъёму JTAG на плате с ПЛИС.

Запустим инструмент для программирования: Tools Programmer.

Добавим программатор. Для этого нажмём кнопку Hardware Setup… и в выпадающем списке выберем подключённый USB Blaster. Закроем окно Hardware Setup.

Настройка программатора

Настройка программатора

В окне программатора Programmer нажмите кнопку Auto Detect, чтобы Quartus попытался автоматически определить подключённую ПЛИС и файл прошивки *.sof.

Файл прошивки создаётся Квартусом по умолчанию при компиляции и сохраняется в директории output_files, если не задано иное.

В окне Programmer выберите режим JTAG, установите галочку Program/Configure и нажмите кнопку Start. Прошивка будет записана в память ПЛИС.

Загрузка прошивки в ПЛИС через JTAG

Загрузка прошивки в ПЛИС через JTAG

4Загрузка прошивки в режиме Active Serial

Чтобы прошивка сохранилась в ПЗУ, запишем прошивку в режиме Active Serial.

Подключите кабель программатора к разъёму AS или Active Serial. Запустите программу прошивки: Tools Programmer.

Выберите режим Mode Active Serial. Соглашайтесь при ответе на уточняющий вопрос.

Добавим файл прошивки, нажав кнопку Add File… В поддиректории проекта output_files найдите файл с расширением .pof.

Добавляем файл прошивки в режиме Active Serial

Добавляем файл прошивки в режиме Active Serial

После открытия файла прошивки, установите галочки Program/Configure и, по желанию,остальные.

Загрузка прошивки в ПЛИС в режиме Active Serial

Загрузка прошивки в ПЛИС в режиме Active Serial

Обратите внимание на тип конфигурационной памяти в столбце Device: он должен соответствовать типу памяти, которая установлена у вас на плате.

Нажмите кнопку Start для загрузки прошивки в конфигурационную память ПЛИС. Если подключить к вывдам ПЛИС осциллограф (например, недорогой любительский осцилограф DSO138), то мы увидим такую картину:

Проверка с помощью осциллографа результата прошивки ПЛИС

Проверка с помощью осциллографа результата прошивки ПЛИС

Для преобразования и настройки файлов прошивок существует инструмент, который доступен из меню File Convert Programming Files….

Понравилась статья? Поделить с друзьями:
  • Usb bios flashback asus скачать для windows
  • Usb billboard device driver windows 7
  • Usb audio device скачать драйвер windows 10 realtek
  • Usb audio device driver windows 10
  • Usb audio dac driver windows 10